18video性欧美19sex,欧美高清videosddfsexhd,性少妇videosexfreexxx片中国,激情五月激情综合五月看花,亚洲人成网77777色在线播放

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

深度解析基于FPGA控制的舵機程序

2oON_changxuemc ? 來源:互聯(lián)網(wǎng) ? 作者:佚名 ? 2018-01-25 08:54 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

舵機

一個常見的舵機有三個連接線。

黑色:電源

紅色:電源(5伏直流)

白色:控制引腳(PWM)

PWM控制舵機位置。伺服系統(tǒng)每20毫秒要有一個脈沖,以便獲得正確的角度信息。脈沖寬度決定了舵機的角度運動范圍。也就是說,我們可以通過發(fā)送1毫秒脈沖設置舵機到一端位置,發(fā)送2毫秒脈沖將其設置到另一個位置。

深度解析基于FPGA控制的舵機程序

控制波形

我們設計一個四個輸入,一個輸出的模塊,如下圖所示

控制模塊

Clk:時鐘信號

reset:復位信號

button_l:用于PWM占空比的增加

button_r:用于PWM占空比的減小

Pwm:輸出信號驅(qū)動舵機。

首先,應該生成具有20毫秒周期的PWM信號,由于使用50MHz的時鐘產(chǎn)生PWM,為了產(chǎn)生20ms信號,我們將定義一個名為counter的變量和一個名為upper的常數(shù),它表示計數(shù)上邊界。

Upper boundary : 20ms/20ns = 1000 000

constant upper :integer:=1000000;

………………………..

process(clk,reset)

begin

if reset = '1' then

pwm_reg

counter

duty_cycle

elsif clk='1' and clk'event then

pwm_reg

counter

duty_cycle

end if;

end process;

counter_next

控制信號占空比的值應是1毫秒至2毫秒之間。我們將定義兩個常數(shù)命名dcycle_max和dcycle_min說明上下邊界。

dcycle_max:顯示脈沖寬度的最大值。

(2ms/clock period=2 ms/ 20 ns=100000)

dcycle_min:顯示脈沖寬度的最小值。

(1ms/clock period=1 ms/ 20 ns=50000)

脈寬(占空比)在每個PWM周期中改變,因此我們定義了一個稱為tick的變量。當tick為“1”時,占空比將發(fā)生變化。

signal tick : std_logic;

tick

定義了一個稱為duty_in的常數(shù),用于改變占空比。

VHDL代碼

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

entity servo_pwm is

Port ( clk : in STD_LOGIC;

reset : in STD_LOGIC;

button_l : in STD_LOGIC;

button_r : in STD_LOGIC;

pwm : out STD_LOGIC);

end servo_pwm;

architecture Behavioral of servo_pwm is

constant period:integer:=1000000;

constant dcycle_max:integer:=100000;

constant dcycle_min:integer:=50000;

constant duty_in:integer:=200;

signal pwm_reg,pwm_next:std_logic;

signal duty_cycle,duty_cycle_next:integer:=0;

signal counter,counter_next:integer:=0;

signal tick:std_logic;

begin

process(clk,reset)

begin

if reset = '1' then

pwm_reg

counter

duty_cycle

elsif clk='1' and clk'event then

pwm_reg

counter

duty_cycle

end if;

end process;

counter_next

tick

process(button_l,button_r,tick,duty_cycle)

begin

duty_cycle_next

if tick='1' then

if button_l ='1' and duty_cycle >dcycle_min then

duty_cycle_next

elsif button_r ='1' and duty_cycle

duty_cycle_next

end if;

end if;

end process;

pwm

pwm_next

end Behavioral;

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1650

    文章

    22217

    瀏覽量

    628043
  • 控制模塊
    +關(guān)注

    關(guān)注

    2

    文章

    143

    瀏覽量

    19568
  • 舵機
    +關(guān)注

    關(guān)注

    17

    文章

    368

    瀏覽量

    42667

原文標題:FPGA控制舵機程序

文章出處:【微信號:changxuemcu,微信公眾號:暢學單片機】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評論

    相關(guān)推薦
    熱點推薦

    PWM信號是如何控制舵機的?

    ?PWM(脈沖寬度調(diào)制)信號控制舵機的核心原理是通過固定周期內(nèi)高電平的持續(xù)時間(脈寬)對應舵機的旋轉(zhuǎn)角度,舵機內(nèi)部電路根據(jù)檢測到的脈寬驅(qū)動電機轉(zhuǎn)動到目標位置并保持。以下是具體實現(xiàn)過程:
    的頭像 發(fā)表于 09-29 10:48 ?410次閱讀

    電動舵機和直線舵機的區(qū)別?

    電動舵機是一個廣義概念,直線舵機屬于電動舵機的特殊類型,二者的核心區(qū)別體現(xiàn)在運動形式、結(jié)構(gòu)設計、應用場景等多個維度,具體對比如下: 核心功能與運動形式 電動舵機(旋轉(zhuǎn)式) :核心功能是
    的頭像 發(fā)表于 09-28 18:25 ?253次閱讀

    工業(yè)大舵機和普通舵機的區(qū)別?

    工業(yè)大舵機和普通舵機在設計定位、性能參數(shù)、應用場景等多方面存在顯著差異,以下是具體對比: 核心性能參數(shù) 精度控制 工業(yè)大舵機 :配備高精度位置傳感器(如磁阻式絕對值編碼器),精度可達
    的頭像 發(fā)表于 09-25 10:41 ?322次閱讀

    什么是舵機?舵機的種類有哪些?

    什么是舵機?舵機的種類有哪些? 舵機(Servo Motor)是一種 集成電機、減速器、位置傳感器與閉環(huán)控制系統(tǒng)的高精度執(zhí)行元件 ,核心功能是根據(jù)輸入信號(多為 PWM 信號)精準
    的頭像 發(fā)表于 08-26 11:08 ?2854次閱讀

    舵機PWM信號介紹!

    舵機 PWM 信號介紹 PWM(Pulse Width Modulation,脈沖寬度調(diào)制)信號是舵機的核心控制方式,其通過脈沖的寬度變化來精確指令舵機輸出軸的旋轉(zhuǎn)角度。以下從信號特性
    的頭像 發(fā)表于 08-22 10:59 ?1108次閱讀

    舵機原理簡述!

    舵機原理簡述 舵機是一種高精度的位置伺服執(zhí)行機構(gòu),廣泛應用于機器人關(guān)節(jié)、無人機舵面控制、航模操控等場景,其核心功能是通過接收控制信號,精確驅(qū)動輸出軸旋轉(zhuǎn)到指定角度并保持穩(wěn)定。以下從結(jié)構(gòu)
    的頭像 發(fā)表于 08-22 10:57 ?762次閱讀

    舵機怎么控制正反,舵機怎么使用?

    一、舵機怎么控制正反? 舵機的 “正反” 本質(zhì)是 旋轉(zhuǎn)方向的控制 ,但其方向邏輯與直流電機不同(并非通過電源正負極切換),而是通過 目標角度與當前角度的偏差 由內(nèi)部電路自動
    的頭像 發(fā)表于 08-19 10:52 ?1131次閱讀

    舵機的原理

    一、舵機控制的核心原理 舵機內(nèi)部集成了 直流電機、減速齒輪組、電位器(角度傳感器)和控制電路 ,形成閉環(huán)控制系統(tǒng): ? 信號接收 :外部輸入
    的頭像 發(fā)表于 08-15 10:25 ?779次閱讀

    舵機如何控制

    及注意事項展開說明: 一、舵機控制的核心原理 舵機內(nèi)部集成了 直流電機、減速齒輪組、電位器(角度傳感器)和控制電路 ,形成閉環(huán)控制系統(tǒng): 信
    的頭像 發(fā)表于 08-15 10:23 ?987次閱讀

    舵機是什么?

    舵機是什么?
    的頭像 發(fā)表于 08-14 11:27 ?1253次閱讀

    PWM 型號舵機控制方法!

    一、PWM 舵機控制原理 PWM 舵機的核心是 脈沖寬度與旋轉(zhuǎn)角度的對應關(guān)系 : 舵機接收周期性的 PWM 信號(通常周期為 20ms,即頻率 50Hz),信號由高電平(有效電平)和低
    的頭像 發(fā)表于 08-12 18:37 ?1117次閱讀

    Nginx核心功能深度解析

    Nginx核心功能深度解析
    的頭像 發(fā)表于 05-09 10:50 ?549次閱讀

    舵機的類型及其特點

    : 高響應速度: 直流舵機響應速度快,適合需要快速反應的應用。 高扭矩: 直流舵機可以提供較大的扭矩,適合需要較大力量輸出的應用。 控制簡單: 直流舵機
    的頭像 發(fā)表于 01-08 17:41 ?3317次閱讀

    舵機技術(shù)新突破,無線控制更自由!

    。本文將帶您深入探索新一代無線舵機的技術(shù)奧秘,揭秘其如何實現(xiàn)更自由、更精準的控制。 無線技術(shù)的深度融合:簡化與自由的雙重奏 傳統(tǒng)舵機依賴于有線連接,這不僅限制了設備的布局靈活性,也增加
    的頭像 發(fā)表于 11-22 15:01 ?805次閱讀

    舵機技術(shù)深度解析,讓機器人更智能!

    的——舵機舵機,這個看似不起眼的裝置,實則蘊含著豐富的技術(shù)內(nèi)涵。它不僅是機器人和無人機等智能設備的“關(guān)節(jié)”,更是實現(xiàn)精準操控的核心部件。那么,舵機究竟是如何工作的?它的技術(shù)原理又是什么呢? 首先,我們需要了
    的頭像 發(fā)表于 11-06 14:19 ?2077次閱讀